著者:渡波郁 定価:本体2,800円+税 B5変型判 320ページ ISBN:4839909865 発売日:2003年09月29日 毎日コミュニケーションズ
コンピューターの中核であるCPUという名のブラックボックス。その動作の「超」基本原理から設計までを4bitCPUを例に解説。アキバで手に入る部品だけで実際の制作も可能!実際に作らない人も読み物としてもタメになること請け合いです。
Qiita に引っ越しました。 qiita.com kairo-gokko 製作メモ
リレー式論理回路シミュレータを自作して1bit CPUまで動かした で書いた kairo-gokko の製作過程のメモ。 一度プロトタイプを作ってからリプレイしている感じなので、実際は2周目です。 数字は開発のステップ番号で、リポジトリの stepXX というタグの番号に対応しています。 スピード重視*1で書き散らかしたので(コードも記事も)いろいろ雑です。 リポジトリ: https://github.com/sonota88/kairo-gokko 触れるサンプルまとめ: https://sonota88.github.io/kairo-gokko/pages/index.html シミュレ…
2024/04/09 学部の実験が本格的に始まった。 事前に教科書にとりあえず目を通して、pythonで数値計算用の関数をいくつか作っていった。 1年生の時(1.5年前)にも理系共通の実験科目があったが、僕(と僕のペアの人)は実験がとても苦手で、毎回苦しんでいた。ちゃんと予習をした上で、遅刻もせず実験を開始したのに、進捗が遅すぎて振替実験をやることになったことがあり、(多分同期では他にそんな人はいない)かなりトラウマになっている。 今日は無事に時間内に実験を終えることができてhappy 2-3月に「cpuの創りかた」という本を読んで電子工作をしていた経験が生き、実験中のいくつかの問題(主に回路…
はじめに 今月はReact(+α)スキルの向上と応用情報技術者試験の対策をしていました。 実務でReactを使うことになり、改めて自分のフロントエンドスキルを見直して実装力を高めているところです。 去年の8月にポートフォリオを作成した以来ですが、「今改めて見ると、まだまだ改善点が多いなあ」と感じます。 そこは現時点での成長と受け止め、ひとまずは実装力を上げていこうと思います。 応用情報技術者試験は午後問題の演習に時間がかかっています。 オンライン講座 Udemy React & TypeScript - The Practical Guide ReactをTypeScriptで書くのが、あまり…
先日、博士(情報学)になりました。学部と大学院をあわせた 9 年間で読んだ情報科学関連の教科書・専門書を思い出を振り返りつつここにまとめます。私は授業はあまり聞かずに独学するタイプだったので、ここに挙げた書籍を通読すれば、大学に通わなくてもおおよそ情報学博士ほどの知識は身につくものと思われます。ただし、特に大学院で重要となる論文を読み書きすることについては本稿には含めておりません。それらについては論文読みの日課についてや論文の書き方などを参考にしてください。 joisino.hatenablog.com 凡例:(半端)とは、数章だけ読んだ場合か、最後まで読んだものの理解が浅く、今となっては薄ぼ…
紋別のカニの爪 はじめに 新冨です。 以前、続・会津大学のすすめで、会津大学のカリキュラムの問題点を指摘しました。 yutashx.hatenablog.com それはカリキュラム通りに勉強した場合、一通りの基礎科目を学び終わる前に研究室選択をしなければならない、という問題です。 この問題に対処するためには、カリキュラムの進行よりも早く独学で基礎科目を勉強する必要があります。 そうは言っても、学部1、2年生の間は授業科目が多く詰まっており、自主学習の時間を捻出するのも一苦労です。 貴重な自主学習の時間を下手な資料(Webの記事や本)に費やすのは本望ではないはずです。 そこで本記事では、私がコン…
※なにか気になる点がありましたらコメント欄にご記入ください。また、工作や回路を製作する場合には、細かい作業などに対して、細心の注意を払われるようお願いいたします。【目次】 1.はじめに 2.回路構成 2-1 回路図 2-2 74HCシリーズの代替品について 2-3 代替品を用いたときの回路 (a)74HC10の代替回路 (b)74HC154の代替回路 (c)74HC540の代替回路 2-4 それ以外の変更箇所 (a)リセット回路 (b)クロック回路 2-5 部品表 3.動作について 4.まとめ 付録 1.はじめに 昨年『CPUの創りかた』(渡波郁 著)という本を読みました。CPUを自作するため…
こんにちは。サイボウズ・ラボの内田( @uchan_nos )です。 SSD の自作という活動について、キオクシア株式会社の社員(元キオクシアを含む)にお話を伺う機会がありましたので、ご紹介します。 インタビューの様子は、電子の森ラジオ(電子工作とプログラミング系ポッドキャスト)にて配信しています。 エピソード 019 自作SSD 打ち合わせの様子 普段の収録と異なり、今回は企業からオフィシャルな立場で収録に来てくださるということで、事前に打ち合わせを行いました。 収録に向けた打ち合わせの様子 弊社の東京オフィスにある会議室での打ち合わせの様子です。 写真の左から順に、内田(サイボウズ・ラボ)…
はじめに この記事はUEC 2 Advent Calendar 2023の23日目の記事です。 adventar.org 前日の記事はツナマヨさんの「積んでたコンテンツを消化していくよ」でした。 tunamayo1412.hatenablog.com 買ったけど未だ読めていない本は自分の本棚にもそこそこありますね…。(本当はこの記事でもちょっと紹介する予定でしたが締め切りを過ぎたのでカットしました) はじめに 自己紹介 突然ですが、読書していますか? 学生向け LaTeX超入門 スペースキーで見た目を整えるのはやめなさい エクセル方眼紙で文書を作るのはやめなさい 分析者のためのデータ解釈学入門…
こちらは自作CPU Advent Calendar 2023の19日目の記事です. adventar.org はじめに 自己紹介 CPUとはなにか 自作したCPUのスペック 開発目的 設計 ISA設計 シミュレータでの設計 回路基板の設計(KiCAD) 実装 はんだ付けと部品購入 命令デコーダ デバッグ 現場猫案件 完成像 CPU自作を完走した感想 改良に向けて 追記 はじめに タイトル長すぎ,今時の異世界転生ラノベかよ. おはこんばんにちは,芭蕉梶木です. 今回は電子工作の「で」の字ぐらいの状態から高専祭までにCPU自作をやって間に合わせた話をします. 主に開発過程とかその中の思考プロセスと…
この記事は 自作CPU Advent Calendar 2023 12 日目の記事です。 こんにちは。岩です。 今回はタイトルの通り74HC181を手に入れたのでCPUを作ってみた話です。 きっかけ 地元某所のパーツショップでロジックICを物色していたら、74LS181を見つけ、店員さんに聞いたら奥にCMOSもあるよ~ということで74HC181をゲットしたのが始まりです。秋葉原でも日本橋でも大須でもない隠れスポットの方が意外と買う人がいなくて在庫が残っているのかも。 構成(CPU部) 渡波 郁 氏の『CPUの創りかた』で紹介されているTD4を8bit化、入出力を拡張し、ALUを74HC181 …
こんにちは! ホワイトプラスのコアシステム開発Gエンジニアのさとうです。 この記事は WHITEPLUS Advent Calendar 2023 の 12月7日の記事です。 アドベントカレンダーは12月1日から25日の間窓を毎日ひとつずつ開けていくカレンダーです。 子どもの頃、クリスマスといえばワクワクするプレゼントが待っていましたね。 でも大人になってからは、その特別な感覚が薄れてきて少し寂しいです。。。 ということで、少しでも特別感を演出しようと自分へのクリスマスプレゼントとして書籍を贈ることにしました! 年末年始の休暇で新しい知識やインスピレーションを得る機会にしたいと思います。 本稿…
買った LeanとDevOpsの科学 CPUの創りかた Scalaスケーラブルプログラミング 第4版 Snowflake: The Definitive Guide なっとく!関数型プログラミング 入門 現代の量子力学 量子情報・量子測定を中心として 読んだ ゴルゴ13 (8)--(22) 読んでる ゴルゴ13 健康になる技術 大全 Snowflake: The Definitive Guide 街とその不確かな壁 プログラマー脳 The Data Warehouse Toolkit: The Definitive Guide to Dimensional Modeling 実践的データ基盤へ…
8/21 Kindle今日の日替りセール情報です。 Kindle Unlimited サマーキャンペーン 最初の2か月99円 IC10個のお手軽CPU設計入門!コンピューターの中核であるCPUという名のブラックボックス。その動作の「超」基本原理から設計までを4bitCPUを例に解説。アキバで手に入る部品だけで実際の制作も可能!実際に作らない人も読み物としてもタメになること請け合いです。2003年の刊行から16年で30刷突破のロングセラー。※本書の電子版について※2019年9月発行の初版第29刷を底本としています。解説内容や情報は基本的に、初版発行時の2003年8月段階のものから変更されておりま…
Chapter 5 バグはたくさん残っているであろうが一往動いているので現状で固めておく。nandのみというルールは途中でいい加減になったが、これで、CPUの創りかた (TD4)、動かしてわかるCPUの作り方10講、CPU1738と合わせて4種類作ったことになる。 Lチカで動作確認をする。Dレジスタの出力を外に引き出した。クロックは5 Hzで動かしている。 youtu.be library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity rom is port ( address: in std_logic…
今回は、要件定義力を高めるには、何が必要で、どんな書籍で学べばよいのか?について考えたい。 要件定義力を高めるために 問題を整理する能力向上のために 文章を書く能力向上のために システムに関する知識を獲得するために 業務システム開発関係でお勧めする書籍 データベース関係でお勧めする書籍 通信関係(物理レベル)でお勧めする書籍 通信関係(ソフトレベル)でお勧めする書籍 コンピュータ関係でお勧めする書籍 セキュリティ(暗号関係)でお勧めする書籍 最後に 要件定義力を高めるために req-definer.com上記記事で要件定義書の作り方を説明したように、要件定義書を作るにあたってやることは、業務の…
こんちは 職業プログラマなのですが,最近はやる気スイッチやまとまった時間が確保できないなどの関係で学生の時より技術書を読めなくなりました。 そんなこんなで,もし過去に戻れるなら暇でやる気と体力もあった学生の時に読んでおきたかった本を簡単(一言レベル)にまとめてみました。 ゴールデンウイーク中,暇な人もぜひ。 2024/01/20: 少し更新 2024/02/19: ちょっと更新 対象 プログラミング言語 新・明解C言語 入門編 独習C++ Effective C++ Effective Modern C++ 独習C# 第5版 プログラミングコンテストチャレンジブック 競技プログラミングの鉄則 …