著者:渡波郁
定価:本体2,800円+税
B5変型判 320ページ
ISBN:4839909865
発売日:2003年09月29日
毎日コミュニケーションズ
コンピューターの中核であるCPUという名のブラックボックス。その動作の「超」基本原理から設計までを4bitCPUを例に解説。アキバで手に入る部品だけで実際の制作も可能!実際に作らない人も読み物としてもタメになること請け合いです。
Chapter 5 バグはたくさん残っているであろうが一往動いているので現状で固めておく。nandのみというルールは途中でいい加減になったが、これで、CPUの創りかた (TD4)、動かしてわかるCPUの作り方10講、CPU1738と合わせて4種類作ったことになる。 Lチカで動作確認をする。Dレジスタの出力を外に引き出した。クロックは5 Hzで動かしている。 youtu.be library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity rom is port ( address: in std_logic…